早教吧作业答案频道 -->英语-->
4位向量乘法器什么意思啊先解释,可以的话用VHDL实现
题目详情
4位向量乘法器什么意思啊
先解释,可以的话用VHDL实现
先解释,可以的话用VHDL实现
▼优质解答
答案和解析
就是具有4位的输入信号进行向量运算,然后输出最终结果(不知道你的要求是几位的,我的理解应该是输入输出出应该包括模长和角度library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
entity vector4 is
port(a:in std_logic_vector(3 downto 0);
b:in std_logic_vector(3 downto 0);
y:out std_logic_vector(7 downto 0));
end entity vector4;
architecture art1 of vector4 is
signal d:std_logic_vector(7 downto 0);
signal da:std_logic_vector(7 downto 0);
signal dat:std_logic_vector(7 downto 0);
signal data:std_logic_vector(7 downto 0);
begin
process(a,b)----(0),a(1),a(2),a(3),b(0),b(1),b(2),b(3))
begin
if a(0)='1' then
d(0):=b(0);
d(1):=b(1);
d(2):=b(2);
d(3):=b(3);
d(7 downto 4):="0000";
else
d(7 downto 0):="00000000";
end if;
if a(1)='1' then
da(1):=b(0);
da(2):=b(1);
da(3):=b(2);
da(4):=b(3);
da(0):='0';
da(7 downto 5):="000";
else
da(7 downto 0):="00000000";
end if;
if a(2)='1' then
dat(2):=b(0);
dat(3):=b(1);
dat(4):=b(2);
dat(5):=b(3);
dat(1 downto 0):="00";
dat(7 downto 6):="00";
else
dat(7 downto 0):="00000000";
end if;
if a(3)='1' then
data(3):=b(0);
data(4):=b(1);
data(5):=b(2);
data(6):=b(3);
data(2 downto 0):="000";
data(7):='0';
else
data(7 downto 0):="00000000";
end if;
y
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
entity vector4 is
port(a:in std_logic_vector(3 downto 0);
b:in std_logic_vector(3 downto 0);
y:out std_logic_vector(7 downto 0));
end entity vector4;
architecture art1 of vector4 is
signal d:std_logic_vector(7 downto 0);
signal da:std_logic_vector(7 downto 0);
signal dat:std_logic_vector(7 downto 0);
signal data:std_logic_vector(7 downto 0);
begin
process(a,b)----(0),a(1),a(2),a(3),b(0),b(1),b(2),b(3))
begin
if a(0)='1' then
d(0):=b(0);
d(1):=b(1);
d(2):=b(2);
d(3):=b(3);
d(7 downto 4):="0000";
else
d(7 downto 0):="00000000";
end if;
if a(1)='1' then
da(1):=b(0);
da(2):=b(1);
da(3):=b(2);
da(4):=b(3);
da(0):='0';
da(7 downto 5):="000";
else
da(7 downto 0):="00000000";
end if;
if a(2)='1' then
dat(2):=b(0);
dat(3):=b(1);
dat(4):=b(2);
dat(5):=b(3);
dat(1 downto 0):="00";
dat(7 downto 6):="00";
else
dat(7 downto 0):="00000000";
end if;
if a(3)='1' then
data(3):=b(0);
data(4):=b(1);
data(5):=b(2);
data(6):=b(3);
data(2 downto 0):="000";
data(7):='0';
else
data(7 downto 0):="00000000";
end if;
y
看了 4位向量乘法器什么意思啊先解...的网友还看了以下:
线性代数:求线性代数中的一句话的解释!我是遇到了一句话,想的不是很明白,在特征值与特征向量这一章, 2020-05-16 …
关于矢量标量的问题矢量一定有大小和方向 有大小和方向的量一定是矢量 标量一定有大小没有方向方向 有 2020-05-17 …
数量积和向量积有什么区别?已知两向量,OA,OB,我要求面积OAB的话,用数量积还是向量积来求?为 2020-05-17 …
向量a等于(2,3)其中的括号是什么意思向量a=(2,3)这个括号是表示坐标么?如果是的话向量不就 2020-06-05 …
如何将曲线的坐标方程转换成向量方程?求向量场A=(x²-y)i+4zj+x²k沿闭曲线A的环流量, 2020-06-14 …
①纵向受力钢筋出现双层或多层排列时,两排钢筋之间应垫以直径15mm的短钢筋,如纵向钢筋直径大于25 2020-07-11 …
判断:能量可以从能量多的物体向能量少的物体转移,也可以从能量少的物体向能量多的物体转移.直升机匀速上 2020-11-03 …
在曲线运动中,速度的法向分量为0这句话为什么不对?在曲线运动中,速度的法向分量为0这句话为什么不对? 2020-11-21 …
CF4CHF3CH2F2CH3F的熔沸点关系听说好像是跟取向力有关,请具体解释一下为什么(如果说与相 2020-12-15 …
以下哪个是最偏向金融的方向?数量经济学的方向问题,以下就是各导师的研究方向:(看名字像不一定就是,大 2020-12-15 …