早教吧 育儿知识 作业答案 考试题库 百科 知识分享

用VHDL语言设计一个七人表决器.用VHDL语言设计一个7人表决器.要求:对某一个问题有4人或4人以上表示同意时,表决器发出同意的信号,同时统计通过的人数.下周就要做实验了,

题目详情
用VHDL语言设计一个七人表决器.
用VHDL语言设计一个7人表决器.
要求:对某一个问题有4人或4人以上表示同意时,表决器发出同意的信号,同时统计通过的人数.
下周就要做实验了,
▼优质解答
答案和解析
library ieee;
use ieee.std_logic_1164.all;
entity qrbjq is
port( a:in std_logic_vector(6 downto 0); --7个人
num:buffer integer range 0 to 7; --表决通过人数
co:out std_logic); --是否通过信号
end;
architecture art of qrbjq is
begin
process(a)
variable b:integer range 0 to 7; --定义变量b
begin
b:=0;
for n in 0 to 6 loop
if a(n)='1' then b:=b+1; --统计通过人数
end if;
end loop;
num=4 then co
看了用VHDL语言设计一个七人表决...的网友还看了以下:

一个斜抛体的水平运动距离记为x(m),对应得高度记为h(m),h是关于x的二次函数.已知当x=0时  2020-05-13 …

如何判断斜率的存在?当设点斜式方程求解时要讨论K是否存在~当什么情况下存在?什么情况下有不存在?给  2020-06-20 …

一个斜抛物体的水平运动距离为x(m),对应的高度记为h(m),h是关于x的二次函数.已知当x=0时  2020-06-24 …

比较反应热加正负号么?发现比较△H时要写正负号的,可是有道题目比较反应热时是只比较绝对值大小.还有  2020-07-22 …

在高台跳水运动中运动员相对于水面的高度h(单位:m)与起跳后的时间t(单位:s)间的关系式为h(t)  2020-10-30 …

数学题!一个斜抛物体的水平运动距离为x(m),对应的高度记为h(m),h是关于x的二次函数.已知当x  2020-11-20 …

喷灌是一种先进的田间灌水技术,雾化指标P是它的技术要素之一,当喷嘴的直径d(mm),喷头的工作压强为  2020-12-17 …

喷灌是一种先进的田间灌水技术,雾化指标P是它的技术要素之一,当喷嘴的直径d(mm),喷头的工作压强为  2020-12-17 …

喷灌是一种先进的田间灌水技术,雾化指标P是它的技术要素之一,当喷嘴的直径d(mm),喷头的工作压强为  2020-12-17 …

喷灌是一种先进的田间灌溉技术,雾化指标h是它的技术要素之一,当喷嘴的直径为dmm,喷头的工作压强为p  2020-12-17 …