VHDL四舍五入判别电路,输入为8421BCD码,请大神帮我看看程序问题在哪里libraryieee;useieee.stdlogic1164.all;entityroundisport(D0,D1,D2,D3:instdlogic;E:inbit;g,r:outbit);endround;architectureoneOFroundISsignalabc:s
library ieee;
use ieee.std_logic_1164.all;
entity round is
port(D0,D1,D2,D3:in std_logic;
E:in bit;
g,r:out bit);
end round;
architecture one OF round IS
signal abc:std_logic_vector(3 DOWNTO 0);
PROCESS(E,D0,D1,D2,D3)
BEGIN
IF E
在实体声明之前再加上一句use ieee.std_logic_unsigned.all;
将signal abc: std_logic_vector(3 DOWNTO 0);改成variable abc: std_logic_vector(3 DOWNTO 0);并将其放到process(E,D0,D1,D2,D3)的后面;
在进程开始的地方加上一句abc := D3&D2&D1&D0;
IF E<='0' THEN这一句不对,估计是IF E='0' THEN
将case语句改成if语句:if abc<5 then g<='1',r='0'; else g<='0',r='1'; end if;也可以将这个if语句与前面的if语句嵌套成一个if语句:IF E='0' THEN r<='0',g<='0'; elsif abc<5 then g<='1',r='0'; else g<='0',r='1'; end if;
物理电学问题,求解答答案(1)Q=q(2)R<r:E=q/(4πεr*r):R>=r:E=qr*r/ 2020-03-31 …
直流电源的总功率P总随外电压U变化的关系图线为什么不是二次函数抛物线P=EI=U*E-U)/r,纠 2020-04-26 …
连字成词(英语)l u o e b s r u s r e t o s w a e s r t e 2020-05-14 …
按字母拼单词1、M-F-R-R-E-A2、V-T-E-S3、O-S-E-M-U4、S-L-U-E- 2020-05-16 …
物理中的定义式我们老师说定义式的左右两边无关,就像E=F/Q不能说E与F成正比,与Q成反比,E只与 2020-06-14 …
一个关于第几范式的问题有关系模式R(U,F),属性集合U={A,B,C,D,E},函数依赖集合F= 2020-07-01 …
若E表示电动势,U表示外电压,U′表示内电压,R表示外电路的总电阻,r表示内电阻,I表示电流,则下 2020-07-13 …
下列说法中不正确的是().A.因为电源电动势不变,所以路端电压也不变.B.因为U=IR=[ER/( 2020-07-13 …
matlab求解二阶导数方程,四个方程四个未知量>>symst>>E=32;G=10.81;b=2 2020-07-19 …
(e)(a)(r)thush(e)(r)sh(i)(r)tl(e)(a)(r)ncol(o)(u)( 2020-11-27 …