早教吧作业答案频道 -->其他-->
ISE报错"Warning:Thereisan'U'|'X'|'W'|'Z'|'-'inanarithmeticoperand,theresultwillbe'X程序如下,仿真的时候提示"Warning:Thereisan'U'|'X'|'W'|'Z'|'-'inanarithmeticoperand,theresultwillbe'X'(es).".libraryIEEE;useIEEE.S
题目详情
ISE报错" Warning:There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand,the result will be 'X
程序如下,仿真的时候提示" Warning:There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand,the result will be 'X'(es).".
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
---- Uncomment the following library declaration if instantiating
---- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity kilometers is
Port ( RESET :in STD_LOGIC;
CLKOUT :in STD_LOGIC;
KM_CNT0 :out STD_LOGIC_VECTOR (3 downto 0);
KM_CNT1 :out STD_LOGIC_VECTOR (3 downto 0);
KM_CNT2 :out STD_LOGIC_VECTOR (3 downto 0);
KM_CNT3 :out STD_LOGIC_VECTOR (3 downto 0));
end kilometers;
architecture Behavioral of kilometers is
SIGNAL CNT0:STD_LOGIC_VECTOR(3 DOWNTO 0);
SIGNAL CNT1:STD_LOGIC_VECTOR(3 DOWNTO 0);
SIGNAL CNT2:STD_LOGIC_VECTOR(3 DOWNTO 0);
SIGNAL CNT3:STD_LOGIC_VECTOR(3 DOWNTO 0);
begin
PROCESS(RESET,CLKOUT)
BEGIN
IF RESET='1'
THEN CNT0
程序如下,仿真的时候提示" Warning:There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand,the result will be 'X'(es).".
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
---- Uncomment the following library declaration if instantiating
---- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity kilometers is
Port ( RESET :in STD_LOGIC;
CLKOUT :in STD_LOGIC;
KM_CNT0 :out STD_LOGIC_VECTOR (3 downto 0);
KM_CNT1 :out STD_LOGIC_VECTOR (3 downto 0);
KM_CNT2 :out STD_LOGIC_VECTOR (3 downto 0);
KM_CNT3 :out STD_LOGIC_VECTOR (3 downto 0));
end kilometers;
architecture Behavioral of kilometers is
SIGNAL CNT0:STD_LOGIC_VECTOR(3 DOWNTO 0);
SIGNAL CNT1:STD_LOGIC_VECTOR(3 DOWNTO 0);
SIGNAL CNT2:STD_LOGIC_VECTOR(3 DOWNTO 0);
SIGNAL CNT3:STD_LOGIC_VECTOR(3 DOWNTO 0);
begin
PROCESS(RESET,CLKOUT)
BEGIN
IF RESET='1'
THEN CNT0
▼优质解答
答案和解析
仿真时是要赋初值的问题.
例如在architecture上面,component定义下面直接写上
signal CLKOUT : STD_LOGIC:=‘0’; 这样就行
例如在architecture上面,component定义下面直接写上
signal CLKOUT : STD_LOGIC:=‘0’; 这样就行
看了 ISE报错"Warning:...的网友还看了以下:
类似于x-sinx的迭代方程怎样编写程序?具体如下所述.x初始值为x=zeros(1,100).x 2020-04-06 …
x-sinx的迭代方程怎样编写程序?x初始值为x=zeros(1,100).x具有下式关系:x(1 2020-04-06 …
请问x-sinx的迭代方程怎样编写程序?x初始值为x=zeros(1,100).x具有下式关系:x 2020-04-06 …
一个mathematica程序添加作图语句Clear[x,y,n,h,S1,S2,S3,S4,i] 2020-05-16 …
FORTRAN中的GIM什么意思?例子:INTEGERX(7)DATAX/10,2045,70,8 2020-06-04 …
一道挺难的数学题i*i=-1则x*x=-1可变为x=+i或-ii还具有以下性质:i=ii*i=-1i 2020-11-01 …
1.已知inti=0,x=1,y=0;在下列选项使i的值变成1的语句是().(A)if(x&&y)i 2020-11-01 …
(口ivi•揭阳一模)已知复数zv=si下口x+λi,z口=m+(m−3cos口x)i(λ,m,x∈ 2020-11-12 …
matlab中的fmincon函数请帮忙看下这段程序哪里出错了运行不出来functionf=myfu 2020-12-08 …
求解答关于数学外推假设数据的误差服从正态分布,利用连续4个历时时刻观测数据记为x(i-4),x(i- 2021-01-19 …