早教吧作业答案频道 -->其他-->
求一段简单的VHDL翻译成Verilog如下--********************求一段简单的VHDL翻译成Verilog如下--********************************************ENTITYlightisPORT(clk1:INSTDLOGIC;light:bufferstdlogicvector(7downto0)
题目详情
求一段简单的VHDL翻译成Verilog 如下 --********************
求一段简单的VHDL翻译成Verilog
如下
--********************************************
ENTITY light is
PORT(
clk1 : IN STD_LOGIC;
light: buffer std_logic_vector(7 downto 0)
);
END light;
--*********************************************
ARCHITECTURE behv OF light IS
constant len : integer:=7;
signal banner : STD_LOGIC:='0';
signal clk,clk2: STD_LOGIC;
求一段简单的VHDL翻译成Verilog
如下
--********************************************
ENTITY light is
PORT(
clk1 : IN STD_LOGIC;
light: buffer std_logic_vector(7 downto 0)
);
END light;
--*********************************************
ARCHITECTURE behv OF light IS
constant len : integer:=7;
signal banner : STD_LOGIC:='0';
signal clk,clk2: STD_LOGIC;
▼优质解答
答案和解析
module light ( clk1,light );
input clk1;
output reg [7:0] light;
parameter len =4'b0111;
wire banner;
wire clk;
wire clk2;
这后面还有吧应该。。最后记得写endmodule
input clk1;
output reg [7:0] light;
parameter len =4'b0111;
wire banner;
wire clk;
wire clk2;
这后面还有吧应该。。最后记得写endmodule
看了 求一段简单的VHDL翻译成V...的网友还看了以下:
icemusic的c,bagbig的g,fatcoffee的f,visitvillage的v,ki 2020-05-14 …
在容积固定的2L密闭容器中进行着一个可逆反应X(g)+2Y(g)⇌2Z(g),用Y的物质的量浓度改 2020-05-15 …
浮力公式的应用浮力有四个计算公式,F=ρ液V排g、F=G排、F=G物、F=G-f'那么哪些公式分别 2020-05-16 …
G物=F浮时候,液体密度一定相等?如果一个铁球放在水中悬浮,V铁=V排,g相同.而ρ铁却不等于ρ液 2020-05-22 …
计算重力的公式可以用rou(密度)*v*g,浮力是rou液*v液*g那如果浮力或重力/体积,得到r 2020-05-24 …
当物体漂浮在水面上时,如何计算排开水的体积?F浮=p水×V排×g还成立吗?悬浮时F浮=p水×V排× 2020-06-04 …
一道比较简单的一阶线性方程应用题题目如下(英文的),只考虑第一小题即可.(1)200.32feet 2020-06-06 …
悬浮时,V物=V排G物=G排那么液体密度等于物体密度?只要足够深,任何物体都可以悬浮吗? 2020-06-06 …
俗话说瓜浮李沉,意思是西瓜投入水中可以漂浮,李子投入水中会下沉,漂浮的西瓜的浮力和李子受的浮力谁大 2020-06-16 …
证明:(1),若|E|>=|V|,则G=包含圈(2),若|E|>=|V|+4,则G包含俩个边不重的 2020-07-05 …