早教吧作业答案频道 -->其他-->
求教:用VHDL写一个8位加法器,电路的输入输出信号分别为:A7-A0:8位的第一操作数A,输入B7-B0:8位的第二操作数B,输入asc:电路当前完成加/减的控制输入Cin:数据的较低位所产生的“进位”/“
题目详情
求教:用VHDL写一个8位加法器,
电路的输入输出信号分别为:
A7-A0:8位的第一操作数A,输入
B7-B0:8位的第二操作数B,输入
asc :电路当前完成加/减的控制输入
Cin :数据的较低位所产生的“进位”/“借位”输入
en :电路的“使能”控制输入
d7-d0:电路的运算结果数据输出
Cout :向高位提供的“进位”/“借位”输出
AF :电路的辅助“进位”/“借位”输出
要求:
在执行“加法”运算时,若A3 + B3 产生向高位的进位时,AF = 1 ,否则,AF = 0;在执行“减法”运算时,若A3 – B3 产生向高位的借位时,AF = 1,否则,AF = 0.
在 en = 0 时,电路不工作,所有输出均为“高阻”态
在 asc = 0 且 en = 1 时,实验电路执行“加法”运算;在asc = 1 且 en = 1 时,实验电路执行“减法运算”
这是老师布置的实验,VHDL还要我们自学,我粗浅的看了下,按要求编了下面这样一个程序,但结果输出不对:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity jiafaqi is
port(A :in std_logic_vector(7 downto 0);
B :in std_logic_vector(7 downto 0);
asc :in std_logic;
Cin :in std_logic;
en :in std_logic;
d :out std_logic_vector(7 downto 0);
Cout:out std_logic;
AF :out std_logic);
end jiafaqi;
architecture behave of jiafaqi is
begin
process(A,B,asc,Cin,en)
begin
AF
电路的输入输出信号分别为:
A7-A0:8位的第一操作数A,输入
B7-B0:8位的第二操作数B,输入
asc :电路当前完成加/减的控制输入
Cin :数据的较低位所产生的“进位”/“借位”输入
en :电路的“使能”控制输入
d7-d0:电路的运算结果数据输出
Cout :向高位提供的“进位”/“借位”输出
AF :电路的辅助“进位”/“借位”输出
要求:
在执行“加法”运算时,若A3 + B3 产生向高位的进位时,AF = 1 ,否则,AF = 0;在执行“减法”运算时,若A3 – B3 产生向高位的借位时,AF = 1,否则,AF = 0.
在 en = 0 时,电路不工作,所有输出均为“高阻”态
在 asc = 0 且 en = 1 时,实验电路执行“加法”运算;在asc = 1 且 en = 1 时,实验电路执行“减法运算”
这是老师布置的实验,VHDL还要我们自学,我粗浅的看了下,按要求编了下面这样一个程序,但结果输出不对:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity jiafaqi is
port(A :in std_logic_vector(7 downto 0);
B :in std_logic_vector(7 downto 0);
asc :in std_logic;
Cin :in std_logic;
en :in std_logic;
d :out std_logic_vector(7 downto 0);
Cout:out std_logic;
AF :out std_logic);
end jiafaqi;
architecture behave of jiafaqi is
begin
process(A,B,asc,Cin,en)
begin
AF
▼优质解答
答案和解析
建议你添加一个中间变量比如tmp,宽度设置为9bit,然后赋值,tmp
看了 求教:用VHDL写一个8位加...的网友还看了以下:
一个工程队派12名工人给学校的操场铺草皮,第一天工人铺了整个操场面积的25%,第二天铺了剩下面积的 2020-04-26 …
IEEE 802标准规定的LLC PDU中,带编号的监视帧只能用于( )A.第一类LLC的Ⅰ型操作B 2020-05-24 …
NetWare操作系统中三级容错技术描述不正确的是A.第一级系统容错SFTⅠ针对硬盘表面磁介质的B. 2020-05-24 …
求教:用VHDL写一个8位加法器,电路的输入输出信号分别为:A7-A0:8位的第一操作数A,输入B 2020-07-09 …
已解决已知a是锐角,那么2a是()角A.第一象限B.第二象限C.小于180度的正角D.第一或第二象 2020-07-18 …
第一题:已知a是锐角,那么2a是()A第一象限角B第二象限角C小于180度的正角D不大于直角的正角 2020-08-03 …
若sin∝.tan∝<0,则角的∝是A第二象限角B第二或第三象限角C第二若sin∝.tan∝<0, 2020-08-03 …
A.B.C.D四人进行跳远比赛,赛前大家预测名次,有人说A第二,D第三!有人说A第一,D第二!还有人 2020-11-22 …
已知a、b、c为非零实数,且b+ca=a+cb=a+bc=k,则一次函数y=kx+(1+k)的图象一 2020-11-28 …
第一个数为a+b,第二个数比第一个数的2倍少3,第三个数是第一个数与第二个数的差,第四个数是第一个数 2020-12-17 …